Find a logic diagram that corresponds to the VHDL structural description in below figure. Note that complemented inputs are not available

Introductory Circuit Analysis (13th Edition)
13th Edition
ISBN:9780133923605
Author:Robert L. Boylestad
Publisher:Robert L. Boylestad
Chapter1: Introduction
Section: Chapter Questions
Problem 1P: Visit your local library (at school or home) and describe the extent to which it provides literature...
icon
Related questions
Question
Find a logic diagram that corresponds to the VHDL structural description in below figure. Note that complemented inputs are not available
-- Combinational Circuit 1: Structural VHDL Description
library ieee, lcdf_vhdl;
use ieee.std logic_1164.all, lcdf_vhdl.func_prims.all;
entity comb_ckt_1 is
port (xl, x2, x3, x4 in std logic;
f: out std_logic);
end comb_ckt_1;
architecture structural_1 of comb_ckt_1 is
component NOTI
port (inl: in std_logic;
out1: out std_logic);
end component;
component AND2
port (inl, in2 : in std_logic;
out1: out std logic);
end component;
component OR3
port (inl, in2, in3 : in std logic;
out1: out sta_logic);
end component:
signal nl, n2, n3, n4, n5, n6 : std logic;
begin
g0: NOT1 port map (inl => x1, out1 => n1);
gl: NOT1 port map (inl => n3, out1 => n4);
g2: AND2 port map (inl => x2, in2 => nl,
outl => n2);
g3: AND2 port map (inl => x2, in2 => x3,
outl => n3);
g4: AND2 port map (inl => x3, in2 => x4,
outl => n5);
g5: AND2 port map (inl => x1, in2 => n4,
outl => n6);
g6: OR3 port map (inl => n2, in2 => n5,
=> n6, out1 => f);
end structural_1;
Transcribed Image Text:-- Combinational Circuit 1: Structural VHDL Description library ieee, lcdf_vhdl; use ieee.std logic_1164.all, lcdf_vhdl.func_prims.all; entity comb_ckt_1 is port (xl, x2, x3, x4 in std logic; f: out std_logic); end comb_ckt_1; architecture structural_1 of comb_ckt_1 is component NOTI port (inl: in std_logic; out1: out std_logic); end component; component AND2 port (inl, in2 : in std_logic; out1: out std logic); end component; component OR3 port (inl, in2, in3 : in std logic; out1: out sta_logic); end component: signal nl, n2, n3, n4, n5, n6 : std logic; begin g0: NOT1 port map (inl => x1, out1 => n1); gl: NOT1 port map (inl => n3, out1 => n4); g2: AND2 port map (inl => x2, in2 => nl, outl => n2); g3: AND2 port map (inl => x2, in2 => x3, outl => n3); g4: AND2 port map (inl => x3, in2 => x4, outl => n5); g5: AND2 port map (inl => x1, in2 => n4, outl => n6); g6: OR3 port map (inl => n2, in2 => n5, => n6, out1 => f); end structural_1;
Expert Solution
steps

Step by step

Solved in 2 steps with 1 images

Blurred answer
Knowledge Booster
Logic Gate and Its Application
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, electrical-engineering and related others by exploring similar questions and additional content below.
Similar questions
  • SEE MORE QUESTIONS
Recommended textbooks for you
Introductory Circuit Analysis (13th Edition)
Introductory Circuit Analysis (13th Edition)
Electrical Engineering
ISBN:
9780133923605
Author:
Robert L. Boylestad
Publisher:
PEARSON
Delmar's Standard Textbook Of Electricity
Delmar's Standard Textbook Of Electricity
Electrical Engineering
ISBN:
9781337900348
Author:
Stephen L. Herman
Publisher:
Cengage Learning
Programmable Logic Controllers
Programmable Logic Controllers
Electrical Engineering
ISBN:
9780073373843
Author:
Frank D. Petruzella
Publisher:
McGraw-Hill Education
Fundamentals of Electric Circuits
Fundamentals of Electric Circuits
Electrical Engineering
ISBN:
9780078028229
Author:
Charles K Alexander, Matthew Sadiku
Publisher:
McGraw-Hill Education
Electric Circuits. (11th Edition)
Electric Circuits. (11th Edition)
Electrical Engineering
ISBN:
9780134746968
Author:
James W. Nilsson, Susan Riedel
Publisher:
PEARSON
Engineering Electromagnetics
Engineering Electromagnetics
Electrical Engineering
ISBN:
9780078028151
Author:
Hayt, William H. (william Hart), Jr, BUCK, John A.
Publisher:
Mcgraw-hill Education,