
What's wrong with my code? I'm using mplabx v.5.35.
;Blink LED with Loop Timer Delay
; PIC16F1829 Configuration Bit Settings
; Assembly source line config statements
#include "p16f1829.inc"
; CONFIG1
; __config 0x9E4
__CONFIG _CONFIG1, _FOSC_INTOSC & _WDTE_OFF & _PWRTE_OFF & _MCLRE_ON & _CP_OFF & _CPD_OFF & _BOREN_OFF & _CLKOUTEN_OFF & _IESO_OFF & _FCMEN_OFF
; CONFIG2
; __config 0x3EFF
__CONFIG _CONFIG2, _WRT_OFF & _PLLEN_OFF & _STVREN_ON & _BORV_LO & _LVP_ON
;First include header file
; loader processor and set radix to decimal
list p=16f1829, R=DEC
CBLOCK 0x30 ; Define GPR variable register locations
DLAY
LOOPcount
int BANKSEL =1;
int LATA =2;
; New Vars^
ENDC
;Star Setup
ORG 0
goto Start
ORG 4
RETFIE
START
;Clock Setup
BANKSEL OSCCON
movlw 0x6A
movwf OSCCON
;Port Setup
BANKSEL OSCCON
movlw 0x6A
movwf OSCCON
;Port Setup
BANKSEL TRISA
Clrf TRISA
BANKSEL LATA
olrf LATA
;Challenge Loop
Loop
while(true){
BANKSEL =1;
LATA =2;
BSF(LATA,5); Set RA5 (High)
call (Delay)
BANKSEL =1
LATA =2
BCF(LATA,5); Clear RA5(Low)
call(Delay)
}
goto Loop
Delay
BANKSEL VarA
movlw 0xFF
movwf VarA
Outside
decfsz VarA
goto Goon
return
Goon
movlw 0xFF
movwf VarB
Inside
decfsz VarB
goto Inside
goto Outside
END

Trending nowThis is a popular solution!
Step by stepSolved in 3 steps

- Please describe what this testbench code is doing. You can use comments for each line if that is easier for you. This code is using Verilog. `timescale 1ns / 1ps module display_tb02(); reg [4:0] P; reg [1:0] X; wire [3:0] an; wire [0:7] led; Disp_tester uut( .P(P), .X(X), .an(an), .led(led) ); initial begin $display("\nTestbench start"); {P,X}=0; //quantity formed by concatenating....initialized to 0 #100; end always begin #10; //wait for 10 * 1ns = 10ns if ({P,X} === 'b1111111) begin #10; $display("Testbench end"); $finish; end else begin {P,X} = {P,X} + 1; $display("P,X=%0d,%0d",P,X); $display("an,led=,%b,%b",an,led); end end endmodulearrow_forwardfari M File V Edit BDAN 410: DSS Analysis and Design home > 2.9: Math module @ho Bookmarks Window Help Sample output with inputs: 1.0 2.0 1.0 5.0 Points distance: 3.0 Run Assign point_dist with the distance between point (x1, y1) and point (x2, y2). The calculation is: Distance = SquareRootOf( (x2-x1)² + (y2-y1)²). 401922.2754096.qx3zqy7 F2 2.9.3: Using math functions to calculate the distance between two points 1 import math 2 3 x1 = float(input()) 4 yl float(input()) 5 x2 = float(input()) 6 y2 float(input()) 7 8 Your solution goes here ''' 9 10 print('Points distance:', point_dist) = = 85 AUG 31 DU learn.zybooks.com F3 zy Section 2.9 - BDAN 410: DSS Analysis and Design 000 000 OOO THI I EzyBooks cat JINA A◄ DIIarrow_forward6. In 8085 language write a program to find the sum of the numbers 10H, AlH, and the sum should be stored in the Accumulator. Use sim8085 simulator to run the code and attach the screenshot of Accumulator content.arrow_forward
- Python Turtle, the clearstamp(face_up_lis) not working for some reason. please helparrow_forwardCreate a code that stores values on the stack in each iteration of the factorial label. How many values are you storing on the stack in each iteration of your factorial label? By how many bytes do you expect the stack pointer to change? using coding composer studio with a MSP432 microcontroller. In assembly language not C or C++.arrow_forwardYou are to write an Intel x86 assembly language program which makes useof a loop to access the individual elements of the array containing the ASCIIcharacters. You are to initialize the array with the following db directive: message db 'juMping JAck flaSh #1',10, 0 The ASCII character represented by decimal value 10 (0x0A) is the line feedcharacter (similar to endl in C++). The byte with all zeros (the so called NULL character in ASCII) is used to represent the end of the string. Before entering the loop, which performs the case conversion, the program is to display on the screen the (original) contents of the string. Within the loop, the program is to determine whether the current character represents a lower case character. If the character is lower case, it is to be converted into its uppercase version. Non-alphabetic characters are to remain as is. Upon convertingall the lower case characters, the program is to display the string on the screen. Here is an example of a correct…arrow_forward
- Answser must be in MIPSzy assembly language. Max of 3 - Brancharrow_forwardHello, I really need help with this problem. The answer that I got was 1.4 but my professor told me I got the wrong answer so I was wondering if you can help me solve this problem because I really don't understand what I keep doing wrong.arrow_forwardCreate a Verilog code, Synthesis, and a testbench of 8-bit Accumulator. Show the result using seven segment display.arrow_forward
- Database System ConceptsComputer ScienceISBN:9780078022159Author:Abraham Silberschatz Professor, Henry F. Korth, S. SudarshanPublisher:McGraw-Hill EducationStarting Out with Python (4th Edition)Computer ScienceISBN:9780134444321Author:Tony GaddisPublisher:PEARSONDigital Fundamentals (11th Edition)Computer ScienceISBN:9780132737968Author:Thomas L. FloydPublisher:PEARSON
- C How to Program (8th Edition)Computer ScienceISBN:9780133976892Author:Paul J. Deitel, Harvey DeitelPublisher:PEARSONDatabase Systems: Design, Implementation, & Manag...Computer ScienceISBN:9781337627900Author:Carlos Coronel, Steven MorrisPublisher:Cengage LearningProgrammable Logic ControllersComputer ScienceISBN:9780073373843Author:Frank D. PetruzellaPublisher:McGraw-Hill Education





